Ultra-low Power FinFET SRAM Cell with improved stability suitable for low power applications

Shilpi Birla

Abstract


In this paper, a new 11T SRAM cell using FinFET technology has been proposed, the basic component of the cell is the 6T SRAM cell with 4 NMOS access transistors to improve the stability and also makes it a dual port memory cell. The proposed cell uses a header scheme in which one extra PMOS transistor is used which is biased at different voltages to improve the read and write stability thus, helps in reducing the leakage power and active power. The cell shows improvement in RSNM (Read Static Noise Margin) with LP8T by 2.39x at sub-threshold voltage 2.68x with D6T SRAM cell, 5.5x with TG8T. The WSNM (Write Static Noise Margin) and HM (Hold Margin) of the SRAM cell at 0.9V is 306mV and 384mV. At sub-threshold operation also it shows improvement. The Leakage power reduced by 0.125x with LP8T, 0.022x with D6T SRAM cell, TG8T and SE8T. Also, impact of process variation on cell stability is discussed.

Full Text:

PDF

References


REFERENCES

S. I. Association

et al.

, “International technology roadmap for semicon-

ductors,”

http://www. itrs. net

, 2009.

W. Lim, H. C. Chin, C. S. Lim, and M. L. P. Tan, “Performance

evaluation of 14 nm finfet-based 6t SRAM cell functionality for dc and

transient circuit analysis,”

Journal of Nanomaterials

, vol. 2014, p. 105,

P. Mishra, A. Muttreja, and N. K. Jha, “Finfet circuit design,” in

Nanoelectronic Circuit Design

. Springer, 2011, pp. 23–54.

Z. Guo, S. Balasubramanian, R. Zlatanovici, T.-J. King, and B. Nikoli

́

c,

“Finfet-based SRAM design,” in

Proceedings of the 2005 international

symposium on Low power electronics and design

.

ACM, 2005, pp.

–7.

K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray,

N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, “A 3-GHz 70-MB sram in

-nm CMOS technology with integrated column-based dynamic power

supply,”

IEEE Journal of Solid-State Circuits,

vol. 41, no. 1, pp. 146–

, 2006.

M.-H. Chiang, K. Kim, C.-T. Chuang, and C. Tretz, “High-density

reduced-stack logic circuit techniques using independent-gate controlled

double-gate devices,”

IEEE Transactions on Electron Devices

, vol. 53,

no. 9, pp. 2370–2377, 2006.

R. Kanj, R. Joshi, R. Williams, S. Nassif

et al.

, “Statistical evaluation

of split gate opportunities for improved 8t/6t column-decoupled sram

cell yield,” in

Quality Electronic Design, 2008. ISQED 2008. 9th

International Symposium on

. IEEE, 2008, pp. 702–707.

S. Pal and A. Islam, “Variation tolerant differential 8t sram cell for

ultralow power applications,”

IEEE transactions on computer-aided

design of integrated circuits and systems

, vol. 35, no. 4, pp. 549–558,

J.-H. Lee, “Bulk finfets: design at 14 nm node and key characteristics,”

in

Nano Devices and Circuit Techniques for Low-Energy Applications

and Energy Harvesting

. Springer, 2016, pp. 33–64.

S. K. Gupta, J. P. Kulkarni, and K. Roy, “Tri-mode independent gate

finfet-based sram with pass-gate feedback: technology–circuit co-design

for enhanced cell stability,”

IEEE Transactions on Electron Devices

,

vol. 60, no. 11, pp. 3696–3704, 2013.

S. M. Salahuddin and M. Chan, “Eight-finfet fully differential sram cell

with enhanced read and write voltage margins,”

IEEE Transactions on

Electron Devices

, vol. 62, no. 6, pp. 2014–2021, 2015.

S. A. Tawfik and V. Kursun, “Robust finfet memory circuits with p-type

data access transistors for higher integration density and reduced leakage

power,”

Journal of Low Power Electronics

,

vol. 5, no. 4, pp. 497–508,

S. Birla, “Subthreshold finfet sram at 20nm technology with improved

stability and lower leakage power,”

Indian Journal of Science and

Technology

,

vol. 10, no. 3, 2017.

B. Zeinali, J. K. Madsen, P. Raghavan, and F. Moradi, “Sub-threshold

sram design in 14 nm finfet technology with improved access time and

leakage power,” in

VLSI (ISVLSI), 2015 IEEE Computer Society Annual

Symposium on

. IEEE, 2015, pp. 74–79.

B. H. Calhoun and A. P. Chandrakasan, “A 256-kb 65-nm sub-threshold

sram design for ultra-low-voltage operation,”

IEEE journal of solid-state

circuits

, vol. 42, no. 3, pp. 680–688, 2007.

K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray,

N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, “Sram design on 65-nm

cmos technology with dynamic sleep transistor for leakage reduction,”

IEEE Journal of Solid-State Circuits

, vol. 40, no. 4, pp. 895–901, 2005.

H. Ananthan, A. Bansal, and K. Roy, “Finfet sram-device and circuit

design considerations,” in

Quality Electronic Design, 2004. Proceedings.

th International Symposium on

. IEEE, 2004, pp. 511–516.

Y. Liu, M. Masahara, K. Ishii, T. Sekigawa, H. Takashima, H. Yamauchi,

and E. Suzuki, “A highly threshold voltage-controllable 4t finfet with

an 8.5-nm-thick si-fin channel,”

IEEE Electron Device Letters

, vol. 25,

no. 7, pp. 510–512, 2004.

B. Ebrahimi, A. Afzali-Kusha, and H. Mahmoodi, “Robust finfet sram

design based on dynamic back-gate voltage adjustment,”

Microelectron-

ics Reliability

, vol. 54, no. 11, pp. 2604–2612, 2014.

R. A. Thakker, M. Srivastava, K. H. Tailor, M. S. Baghini, D. K. Sharma,

V. R. Rao, and M. B. Patil, “A novel architecture for improving slew

rate in finfet-based op-amps and otas,”

Microelectronics Journal

, vol. 42,

no. 5, pp. 758–765, 2011.

M. Ansari, H. Afzali-Kusha, B. Ebrahimi, Z. Navabi, A. Afzali-Kusha,

and M. Pedram, “A near-threshold 7t sram cell with high write and

read margins and low write time for sub-20 nm finfet technologies,”

INTEGRATION, the VLSI journal

, vol. 50, pp. 91–106, 2015.

J. P. Kulkarni, K. Kim, and K. Roy, “A 160 mv robust schmitt trigger

based subthreshold sram,”

IEEE Journal of Solid-State Circuits

, vol. 42,

no. 10, pp. 2303–2313, 2007.

I. J. Chang, J.-J. Kim, S. P. Park, and K. Roy, “A 32 kb 10t sub-threshold

sram array with bit-interleaving and differential read scheme in 90 nm

cmos,”

IEEE Journal of Solid-State Circuits

, vol. 44, no. 2, pp. 650–658,

C.-H. Lo and S.-Y. Huang, “Ppn based 10t sram cell for low-leakage and

resilient subthreshold operation,”

IEEE Journal of Solid-State Circuits

,

vol. 46, no. 3, pp. 695–704, 2011.

M. Limachia, R. Thakker, and N. Kothari, “A near-threshold 10t dif-

ferential sram cell with high read and write margins for tri-gated finfet

technology,”

Integration, the VLSI Journal

, 2017.

A. Islam, M. Hasan, and T. Arslan, “Variation resilient subthreshold sram

cell design technique,”

International Journal of Electronics

, vol. 99,

no. 9, pp. 1223–1237, 2012.

B. H. Calhoun and A. P. Chandrakasan, “Static noise margin variation

for sub-threshold sram in 65-nm cmos,”

IEEE Journal of solid-state

circuits

, vol. 41, no. 7, pp. 1673–1679, 2006.

H. Ananthan and K. Roy, “Technology-circuit co-design in width-

quantized quasi-planar double-gate sram,” in

Integrated Circuit Design

and Technology, 2005. ICICDT 2005. 2005 International Conference on

.

IEEE, 2005, pp. 155–160.

K. Kim, C.-T. Chuang, J. B. Kuang, H. C. Ngo, and K. J. Nowka, “Low-

power high-performance asymmetrical double-gate circuits using back-

gate-controlled wide-tunable-range diode voltage,”

IEEE Transactions

on Electron Devices

, vol. 54, no. 9, pp. 2263–2268.


Refbacks

  • There are currently no refbacks.


International Journal of Electronics and Telecommunications
is a periodical of Electronics and Telecommunications Committee
of Polish Academy of Sciences

eISSN: 2300-1933